數(shù)字邏輯實(shí)驗(yàn)報告心得

| 小揚(yáng)21147

數(shù)字邏輯是數(shù)字電路邏輯設(shè)計(jì)的簡稱,其內(nèi)容是應(yīng)用數(shù)字電路進(jìn)行數(shù)字系統(tǒng)邏輯設(shè)計(jì)。電子數(shù)字計(jì)算機(jī)是由具有各種邏輯功能的邏輯部件組成的,這些邏輯部件按其結(jié)構(gòu)可分為組合邏輯電路和時序邏輯電路。下面是小編帶來的有關(guān)數(shù)字邏輯實(shí)驗(yàn)報告心得,希望大家喜歡

數(shù)字邏輯實(shí)驗(yàn)報告心得1

數(shù)字電路中,最基本的邏輯門可歸結(jié)為與門、或門和非門。實(shí)際應(yīng)用時,它們可以獨(dú)立使用,但用的更多的是經(jīng)過邏輯組合組成的復(fù)合門電路。目前廣泛使用的門電路有TTL門電路和CMOS門電路。

1、TTL門電路

TTL門電路是數(shù)字集成電路中應(yīng)用最廣泛的,由于其輸入端和輸出端的結(jié)構(gòu)形式都采用了半導(dǎo)體三極管,所以一般稱它為晶體管-晶體管邏輯電路,或稱為TTL電路。這種電路的電源電壓為+5V,高電平典型值為3.6V(≥2.4V合格);低電平典型值為0.3V(≤0.45合格)。常見的復(fù)合門有與非門、或非門、與或非門和異或門。

有時門電路的輸入端多余無用,因?yàn)閷TL電路來說,懸空相當(dāng)于“1”,所以對不同的邏輯門,其多余輸入端處理方法不同。

(1)TTL與門、與非門的多余輸入端的處理

如圖1-1為四輸入端與非門,若只需用兩個輸入端A和B,那么另兩個多余輸入端的處理方法是:

并聯(lián) 懸空 通過電阻接高電平

請點(diǎn)擊輸入圖片描述

圖1-1 TTL與門、與非門多余輸入端的處理

并聯(lián)、懸空或通過電阻接高電平使用,這是TTL型與門、與非門的特定要求,但要在使用中考慮到,并聯(lián)使用時,增加了門的輸入電容,對前級增加容性負(fù)載和增加輸出電流,使該門的抗干擾能力下降;懸空使用,邏輯上可視為“1”,但該門的輸入端輸入阻抗高,易受外界干擾;相比之下,多余輸入端通過串接限流電阻接高電平的方法較好。

(2)TTL或門、或非門的多余輸入端的處理

請點(diǎn)擊輸入圖片描述

如圖1-2為四輸入端或非門,若只需用兩個輸入端A和B,那么另兩個多余輸入端的處理方法是:并聯(lián)、接低電平或接地。

并聯(lián) 低電平或接地

請點(diǎn)擊輸入圖片描述

圖1-2 TTL或門、或非門多余輸入端的處理

(3)異或門的輸入端處理

異或門是由基本邏輯門組合成的復(fù)合門電路。如圖3.2.3為二輸入端異或門,一輸入端為A,若另一輸入端接低電平,則輸出仍為A;若另一輸入端接高電平,則輸出為A,此時的異或門稱為可控反相器。

請點(diǎn)擊輸入圖片描述

請點(diǎn)擊輸入圖片描述

圖1-3 異或門的輸入端處理

在門電路的應(yīng)用中,常用到把它們“封鎖”的概念。如果把與非門的任一輸入端接地,則該與非門被封鎖;如果把或非門的任一輸入端接高電平,則該或非門被封鎖。

由于TTL電路具有比較高的速度,比較強(qiáng)的抗干擾能力和足夠大的輸出幅度,在加上帶負(fù)載能力比較強(qiáng),因此在工業(yè)控制中得到了最廣泛的應(yīng)用,但由于TTL電路的功耗較大,目前還不適合作大規(guī)模集成電路。

數(shù)字邏輯實(shí)驗(yàn)報告心得2

課程剛開始的時候,對eda技術(shù)很陌生,也感到很茫然,也非常沒有信心,當(dāng)接觸到可編程器件的時候,看到大家同樣感到很迷惘?;蛟S,在學(xué)習(xí)eda的時候,我應(yīng)該比別人更有些優(yōu)勢,在雙學(xué)位計(jì)算機(jī)的課程里我已經(jīng)學(xué)過《數(shù)字邏輯》,而eda的一些內(nèi)容也是和《數(shù)字邏輯》直接相關(guān)聯(lián)的。

通過一學(xué)期的努力學(xué)習(xí),查閱了一些相關(guān)技術(shù)的書籍,書中通過大量的圖示對pld硬件特性與編程技術(shù)進(jìn)行了形象的講解,不僅融合了之前學(xué)習(xí)的關(guān)于電路設(shè)計(jì)的知識還將eda的技術(shù)加入其中。對vhdl語言的詳盡講解更是讓我深刻理解了vhdl語言的編程原理。由于本門課程是一門硬件學(xué)習(xí)課程,所以實(shí)驗(yàn)必不可少。通過課程最后實(shí)驗(yàn),我體會一些vhdl語言相對于其他編程語言的特點(diǎn)。

在接觸vhdl語言之前,我已經(jīng)學(xué)習(xí)了c語言,匯編語言,而相對于這些語言的學(xué)習(xí),,vhdl 具有明顯的特點(diǎn)。這不僅僅是由于vhdl 作為一種硬件描述語言的學(xué)習(xí)需要了解較多的數(shù)字邏輯方面的硬件電路知識,包括目標(biāo)芯片基本結(jié)構(gòu)方面的知識更重要的是由于vhdl 描述的對象始終是客觀的電路系統(tǒng)。由于電路系統(tǒng)內(nèi)部的子系統(tǒng)乃至部分元器件的工作狀態(tài)和工作方式可以是相互獨(dú)立、互不相關(guān)的,也可以是互為因果的。這表明,在任一時刻,電路系統(tǒng)可以有許多相關(guān)和不相關(guān)的事件同時并行發(fā)生。例如可以在多個獨(dú)立的模塊中同時入行不同方式的數(shù)據(jù)交換和控制信號傳輸,這種并行工作方式是任何一種基于cpu 的軟件程序語言所無法描繪和實(shí)現(xiàn)的。傳統(tǒng)的軟件編程語言只能根據(jù)cpu 的工作方式,以排隊(duì)式指令的形式來對特定的事件和信息進(jìn)行控制或接收。在cpu 工作的任一時間段內(nèi)只能完成一種操作。因此,任何復(fù)雜的程序在一個單cpu 的計(jì)算機(jī)中的運(yùn)行,永遠(yuǎn)是單向和一維的。因而程序設(shè)計(jì)者也幾乎只需以一維的思維模式就可以編程和工作了。

在學(xué)習(xí)的過程中,我深深體會到,學(xué)習(xí)不單單要將理論知識學(xué)扎實(shí)了,更重要的是實(shí)際動手操作能力,學(xué)完了課本知識,我并沒有覺得自己有多大的提高,相反的,每次做完實(shí)驗(yàn)之后,都會感覺自己收獲不少,因此,我認(rèn)為在老師今后的教學(xué)當(dāng)中,應(yīng)當(dāng)更加注重動手實(shí)驗(yàn),把理論與實(shí)踐很好的結(jié)合起來,才能使同學(xué)融會貫通。

數(shù)字邏輯實(shí)驗(yàn)報告心得3

、實(shí)驗(yàn)?zāi)康?/p>

1、熟悉實(shí)驗(yàn)室數(shù)字電路實(shí)驗(yàn)箱的使用方法。

2、熟悉門電路邏輯功能的測試方法。

3、熟悉常用SSI集成電路芯片的應(yīng)用。

4、熟悉基于SSI的組合電路設(shè)計(jì)方法。

二、實(shí)驗(yàn)儀器和器材

數(shù)字邏輯電路實(shí)驗(yàn)實(shí)驗(yàn)箱一個;74LS00一塊;74LS283一塊;導(dǎo)線若干。

三、實(shí)驗(yàn)內(nèi)容

1、在邏輯功能上測試74LS00集成電路的完好性。

2、用1片74LS00實(shí)現(xiàn)一個裁判表決電路。設(shè)裁判為A、B和C,其中A為主裁判,B、C為

副裁判。裁判用“0”表示否決,用“1”表示合格。僅當(dāng)主裁判和一名或一名以上副裁判都認(rèn)為運(yùn)動員的動作合格時,輸出L為“1”,指示燈亮,否則輸出L為“0”,燈不亮。

3、用四位全加器74LS283設(shè)計(jì)一個代碼轉(zhuǎn)換電路,將四位BCD8421碼轉(zhuǎn)換成余3碼(提

示:四位BCD8421碼轉(zhuǎn)換成余3碼就是每一位的BCD8421碼+0011)。

四、實(shí)驗(yàn)方法與實(shí)驗(yàn)結(jié)果

1.驗(yàn)證TTL集成電路的邏輯功能

(1)74LS00功能測試

74LS00是TTL門電路,其外部引腳如圖一所示。內(nèi)含四個2輸入的與非門,其中A、B 是輸入端,Y是輸出端。將因腳PIN14接電源VCC=5V,PIN7接地,將A、B通過實(shí)驗(yàn)箱的開關(guān)分別接邏輯“1”(高電平)和邏輯“0”(低電平),輸出Y接實(shí)驗(yàn)箱指示燈L,實(shí)驗(yàn)結(jié)果如表1所示。

數(shù)字邏輯實(shí)驗(yàn)報告心得4

1、THD-4型數(shù)字電路實(shí)驗(yàn)箱

2、器材: 74LS00 四-2輸入與非門

74LS32 二輸入四或門

74LS86 四-2輸入異或門

74LS08 74LS04

實(shí)驗(yàn)內(nèi)容

【實(shí)驗(yàn)方案設(shè)計(jì)】

1、TTL與非門的邏輯功能及應(yīng)用

芯片的引腳號查法是面對芯片有字的正面,從缺口處的下方(左下角),逆時針從1數(shù)起。芯片要能工作,必須接電源和地。本實(shí)驗(yàn)所用與非門集成芯片為74LS00四-二輸入與非門,其引腳排列如圖1-4所示。

圖1-4 74LS00引腳排列

(1) 測試74LS00四-2輸入與非門的邏輯功能

(2) 用74LS00實(shí)現(xiàn)或邏輯:,寫出轉(zhuǎn)換過程邏輯函數(shù)式,畫出標(biāo)明引腳的邏輯電路圖,測試其邏輯功能,觀測實(shí)驗(yàn)結(jié)果。

請點(diǎn)擊輸入圖片描述

請點(diǎn)擊輸入圖片描述

采用74LS00實(shí)現(xiàn)以上邏輯函數(shù)的電路如下圖所示:

(3) 用74LS00實(shí)現(xiàn)下表所示的邏輯函數(shù)。寫出設(shè)計(jì)函數(shù)式,畫出標(biāo)明引腳的邏輯電路圖,并驗(yàn)證之。

輸入輸出輸入輸出A B CYA B CY0 0 00 0 10 1 00 1 100011 0 01 0 11 1 01 1 10011

(請?jiān)诖颂帉懗鲞壿嫳磉_(dá)是并根據(jù)上面的例子畫出電路圖, 如果用Word畫圖不方便,可以先畫在紙上,拍照后粘貼在此處)

2. 用74LS86設(shè)計(jì)一個四位二進(jìn)制取反電路。寫出設(shè)計(jì)函數(shù)式,列出功能表,畫出標(biāo)明引腳的邏輯電路圖,并通過實(shí)驗(yàn)驗(yàn)證之。

(請?jiān)诖颂帉懗鲞壿嫳磉_(dá)是并根據(jù)上面的例子畫出電路圖, 如果用Word畫圖不方便,可以先畫在紙上,拍照后粘貼在此處)

3. 用與非、與、或等基本邏輯門設(shè)計(jì)一個無棄權(quán)三通路表決器,既當(dāng)輸入為兩個1時輸出為1。

數(shù)字邏輯實(shí)驗(yàn)報告心得5

首先,通過對這門課程相關(guān)理論的學(xué)習(xí),我掌握了eda的一些基本的的知識,現(xiàn)代電子產(chǎn)品的性能越來越高,復(fù)雜度越來越大,更新步伐也越來越快。實(shí)現(xiàn)這種進(jìn)步的主要原因就是微電子技術(shù)和電子技術(shù)的發(fā)展。前者以微細(xì)加工技術(shù)為代表,目前已進(jìn)入超深亞微米階段,可以在幾平方厘米的芯片上集成幾千萬個晶體管;后者的核心就是電子設(shè)計(jì)自動化eda(electronic design automatic)技術(shù)。 eda是指以計(jì)算機(jī)為工作平臺,融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù)的最新成果而開發(fā)出的電子cad通用軟件包,它根據(jù)硬件描述語言hdl完成的設(shè)計(jì)文件,自動完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局布線及仿真,直至完成對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。

eda技術(shù)的出現(xiàn),極大地提高了電路設(shè)計(jì)的效率和可操作性,減輕了設(shè)計(jì)者的勞動強(qiáng)度。

其次,通過對課程的實(shí)驗(yàn)的學(xué)習(xí),我對eda的學(xué)習(xí)和理解有了更深刻的認(rèn)識和體會。我們團(tuán)隊(duì)共四個人,做的是兩層電梯控制器,作為這個實(shí)驗(yàn)的一員與負(fù)責(zé)人,我感到很有壓力。因?yàn)橹粚φn本知識的學(xué)習(xí),我對實(shí)驗(yàn)做成功的把握不是很大。因?yàn)槲覀兪菣C(jī)械專業(yè),學(xué)習(xí)電的知識也主要是通過大二學(xué)的《電工學(xué)》 ,因此只能對數(shù)字邏輯與數(shù)字電路有初步的了解,而eda是在數(shù)字電路發(fā)展到一定階段的產(chǎn)物,因此學(xué)習(xí)起來也很費(fèi)力。

然而,在我們團(tuán)隊(duì)的共同努力下,我們最終成功地完成了這個實(shí)驗(yàn),包括時序仿真和硬件測試仿真,都取得了非常成功地效果。

在上實(shí)驗(yàn)課的時候,那個周六下午,整個實(shí)驗(yàn)室只有那寥寥幾人,我很慶幸我是其中的一人,因?yàn)樵谀抢镂覍W(xué)習(xí)到了很多,我完成了上次實(shí)驗(yàn)沒有完成的掃描顯示的實(shí)驗(yàn),也完成了步進(jìn)電機(jī)控制器的實(shí)驗(yàn),還在老師的指導(dǎo)下完成了梁祝音樂演示實(shí)驗(yàn),最后在晚上我也去了實(shí)驗(yàn)室,和我們團(tuán)隊(duì)成員開始進(jìn)行兩層電梯控制器的設(shè)計(jì),通過一個晚上的努力,我們最終把它給調(diào)試了出來。

通過實(shí)驗(yàn),我激發(fā)了eda學(xué)習(xí)的興趣,也對這門課程有了更深的理解,對eda設(shè)計(jì)軟件quarter Ⅱ的使用也更加熟練。老師給我們的材料中,用的是gal器件,我們最終用的是fpga器件,也就是ep1k10tc100—3芯片,我們分析了電梯在整個運(yùn)行過程中的狀態(tài),并參考資料寫出了狀態(tài)圖,然后根據(jù)狀態(tài)圖用有限狀態(tài)機(jī)來實(shí)現(xiàn)了各個狀態(tài)之間的轉(zhuǎn)換,進(jìn)而實(shí)現(xiàn)了對電梯的控制。

在設(shè)計(jì)過程中,我們遇到了很多困難,尤其是在電梯開門于關(guān)門那個自動控制方面,起初我想用一個延遲信號賦值語句解決這個問題,但是由于這個延遲在綜合器里面不能得到體現(xiàn),綜合器在綜合是會忽略after之后的延遲,因此我該用了一個計(jì)數(shù)器溢出的底層元件。通過元件例化語句實(shí)現(xiàn)在頂層文件中對其的調(diào)用。

在完成vhdl的編輯以后,進(jìn)行編譯,結(jié)果出現(xiàn)了很多錯誤,在我們細(xì)心的檢查和排

對這門課程的最大收獲除了學(xué)習(xí)到了知識以外,更重要的是讓我明白了一個道理:只要全身心的投入到一件事中,并且要有持之以恒的決心,就一定會有收獲。有的人覺得自己做不出來,就網(wǎng)上搜一個了事,但是,放棄一次黑暗中摸索的經(jīng)歷,就放棄了一次成長的機(jī)會!如果你付出了,沒有收獲。那只能說,是付出的還不夠多。

我想我對eda的學(xué)習(xí)只能算是個入門,這個領(lǐng)域的發(fā)展空間非常大,應(yīng)用范圍也非常廣泛,而且我相信在將來還會有更加廣闊的應(yīng)用前景。因此在以后的學(xué)習(xí)過程中,我不能因?yàn)檎n程學(xué)習(xí)的結(jié)束而結(jié)束了我對這個領(lǐng)域的探索,相反我會更加努力的去學(xué)習(xí)它。感謝老師孜孜不倦的教誨,讓我不僅學(xué)到了知識,也學(xué)到了做人做事的一些道理,為我提供了很多幫助。在接下來的學(xué)習(xí)生涯中,我會繼續(xù)努力,努力扎實(shí)地學(xué)習(xí)專業(yè)知識,實(shí)現(xiàn)自己的理想。

數(shù)字邏輯實(shí)驗(yàn)報告心得相關(guān)文章:

數(shù)字電子實(shí)驗(yàn)心得5篇

46089